Tuesday, March 28, 2017

VHDL PROGRAM FOR OR GATE



OR GATE
Library IEEE;
use IEEE.std_logic_1164.all;

entity  or_gate is
    port(a,b:in bit;
        y:out bit);
    end or_gate;
   
    architecture or_gate_D of or_gate is
        begin
            y <= a or b;
        end or_gate_D;                        

No comments:

Post a Comment