Tuesday, May 9, 2017

Verilog Program for 2x1 MUX

module mux2x1(y,i0,i1,s);
    input i0,i1;
    input s;
    output y;
    assign y=(i0&(~s))|(i1&s);
endmodule;

No comments:

Post a Comment