Tuesday, May 9, 2017

Verilog Program for Half Adder

module half_adder (s,c,a,b);
    input a,b;
    output s,c;
    assign s=a^b;
    assign c=a&b;
 endmodule;

No comments:

Post a Comment